热搜关键词: 电路基础ADC数字信号处理封装库PLC

doc

用VB编写上位机实例

  • 1星
  • 2013-09-22
  • 91KB
  • 需要1积分
  • 2次下载
标签: 用VB编写上位机实例

用VB编写上位机实例

用VB编写上位机实例大全

展开预览

猜您喜欢

评论

657993055
适合初学者
2018-05-04 09:42:40
登录/注册

意见反馈

求资源

回顶部

推荐内容

热门活动

热门器件

随便看看

  • 帖子二-试用spartan-6
    这是偶试用spartan-6的板子的第二波帖子,现在临近期末,呵呵,我一直在复习,抽出一下时间学下xilinx的板子哈下面讲下我的项目:这个项目是今年9月的时候拿到的,明年2月交。。。时间还是充裕的,我已经用altera的板子实现了,但是最近坛子小志管理员和我说可以试用spartan-6的板子,我就试试用xilinx来设计啦,应该会有不同的体验哈,下面是我的项目简介:项目名称:人脸检测系统的设计项
  • MVG 与是德科技强强联手实现对最新无线设备的高效准确测试
    [align=left]随着科技与互联网的发展,无线设备的更新换代日新月异,为其性能测试系统也带来了新的挑战。这不,福音来了:Keysight UXM 无线测试仪现在已完全集成到MVG 的OTA 专用测量软件套件——WaveStudio 中,为支持最新载波聚合的无线设备实现高效而可信的辐射测试。[/align][align=left][color=#333333][font="][size
  • LPC2148问题:只有接上JLINK程序才正常的运行
    嗯,最近弄了一个LPC2148的板子。一样的程序,我下载到LPC2131的板子的FLASH里,拔掉JLINK就可以正常的运行,下载到LPC2148的板子里就行.(操作过程是一样的。)这是我们的ADS设置:程序包上传:
  • 新手想各位大侠请教ram问题
    我编写了一个5*8的存储器,代码如下:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity ram isport(clk,wr,rd,en,reset:in std_logic;addr:in std_logic_vector(4 do
  • stm8a,你为什么要让我这么失望????
    用了stm8a的片子用于汽车电子设计,现出了问题,打电话到st技术支持那,为什么开口第一句叫我换成内部晶振。难道用st的单片机不能用外部晶振????内部晶振的温漂大太,而在我的系统里要由PWM输出一个准确的低频驱动天线。内部晶振的精度根本无法达到要求哪~~~~~~~在实际调试中,stm8a51这片子的一些IO端口很奇怪,PF6与PF7两端口在系统中作为逻辑信号输入,奇怪的是,PF6输入信号在PF7
  • 这4个叫什么名呀?参数是什么意思呀?
  • LM3S8962的耗电量
  • 使用 NXP LPC845 控制的世界时钟
  • EEWORLD大学堂----树莓派就这么玩
  • 逻辑分析仪接口上的EXT_REF是什么意思?

 
EEWorld订阅号

 
EEWorld服务号

 
汽车开发圈

电子工程世界版权所有 京B2-20211791 京ICP备10001474号-1 电信业务审批[2006]字第258号函 京公网安备 11010802033920号 Copyright © 2005-2024 EEWORLD.com.cn, Inc. All rights reserved
×