基带信号进行MPSK调制(这里M=4),即QPSK调制,基于VHDL硬件描述语言---对基带信号进行MPSK调制(这里M=4),即QPSK调制.library ieee;use ieee.std_logic_arith.all;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity PL_MPSK isport(clk :in std_logic; --系统时钟 start :in std_logic; --开始调制信号 x :in std_logic; --基带信号 y :out std_logic); --调制信号end PL_MPSK;architecture behav of PL_MPSK issignal q:integer range 0 to 7; --计数器signal xx:std_logic_vector(1 downto 0); --中间寄存器signal yy:std_logic_vector(1 downto 0); --2位并行码寄存器signal f:std_logic_vector(3 downto 0); --载波fbeginprocess(clk) --通过对clk分频,得到4种相位;并完成基带信号的串并转换
猜您喜欢
推荐内容
开源项目推荐 更多
热门活动
热门器件
用户搜过
随便看看
热门下载
热门文章
热门标签
评论