--基于AD56XX的VHDL控制程序--设计者 eeleaderlibrary ieee;use ieee.std_logic_1164.all; use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity Wr_Ad56XX is port ( clk: in std_logic;------20MHZ rst: in
tornado2.2 for pentium,目标机是集成显卡,3com网卡的p4。bsp选择p4,#defineINCLUDE_EL_3C90X_END,#define INCLUDE_PC_CONSOLE都定义了,但是目标机一启动,v1.6+++++++走完就自动重启。在网上查了下,好像是说集成显卡的内存可能冲突了,按照目前唯一能找到的方法,把#define LOCAL_MEM_LOCAL_A
评论