热搜关键词: 机器人电路基础模拟电子技术matlablinux内核

pdf

收音机单片集成电路芯片CXA1019

  • 1星
  • 2013-09-17
  • 324.21KB
  • 需要2积分
  • 3次下载
登录/注册

意见反馈

求资源

回顶部

推荐内容

开源项目推荐 更多

热门活动

热门器件

随便看看

  • 高薪急聘高级硬件工程师
    蓝玛卓信科技有限公司(LANDMARK),是国内最早专业从事嵌入式计算机、网络服务器平台、高端嵌入式显示器、军工测控系统与技术等产品的研发、生产、销售和系统集成服务的股份制高科技企业,多年来,我们持续保持与国际最先进的计算机产品技术公司结成战略伙伴联盟,成为行业内领导者之一。公司总部位于中关村科技园区内北三环马甸商圈,在上海、武汉、成都、西安、深圳等地设有分支机构。本文来原于SINO.56702.
  • 第一周的心得体会
    本人小白一枚,早就知道APP这关过不了,所以创意提交的时候就写明了不做APP,我更倾向于对cc2541这颗单片机和BLE的研究。看了BLE的课程,不过错略的看了一下。好多都不懂呀。顿时感觉不知从何入手。但又不甘心把[color=#000][font=Helvetica, Arial, sans-serif][size=1.17em]sensorTAG送回去,毕竟也花了银子买usbdongle。[/
  • 收到Open1081板子,晒图
    今天下午刚收到的板子,晒一下!!!!附下载资料的地址:[url=http://www.waveshare.net/wiki/Open1081]http://www.waveshare.net/wiki/Open1081[/url]
  • 程序下不进去问题解决,,原因讨论分析..十万火急
    如图,,STC的采用串口下载的方式,,如果不焊接下75179,,,程序下不进去,,去掉就可以,,什么原因??
  • 急求高手编程指教
    library ieee;USE ieee.std_logic_1164.all;use ieee.std_logic_arith.all;entity div_out isport( din,clk:in std_logic;chk:in std_logic_vector(7 downto 0);en,reset:in std_logic;div_clk:~out std_logic_vecto
  • 485
  • Keil ARM5 支持ARM7/9 CM系列器件了
  • 【EEworld原创教程讨论】+ 后续的期待
  • #以拆会友#烘鞋器拆机
  • 经验分享 ——传说中的RF自激振荡又出现了

 
EEWorld订阅号

 
EEWorld服务号

 
汽车开发圈

电子工程世界版权所有 京B2-20211791 京ICP备10001474号-1 电信业务审批[2006]字第258号函 京公网安备 11010802033920号 Copyright © 2005-2024 EEWORLD.com.cn, Inc. All rights reserved
×