用VHDL实现快速傅立叶变换的论文--BASE INDEX GENERATORlibrary ieee;use ieee.std_logic_1164.all;use work.butter_lib.all ;entity baseindex is port( ind_butterfly: in std_logic_vector(3 downto 0); ind_stage: in std_logic_vector(1 downto 0); add_fft: in std_logic; fftadd_rd: out std_logic_vector(3 downto 0); c0,c1,c2,c3: in std_logic);end baseindex;
猜您喜欢
评论