热搜关键词: 电路基础ADC数字信号处理封装库PLC

rar

0289、多相位低相位噪声5GHz 压控振荡器的设计.rar

  • 1星
  • 2014-02-10
  • 281.42KB
  • 需要1积分
  • 0次下载
标签: 0289

0289

相位噪声

相位噪声

5ghz

相位噪声

压控振荡器

压控振荡器

振荡器

振荡器

0289、多相位低相位噪声5GHz  压控振荡器的设计.rar

展开预览

猜您喜欢

评论

登录/注册

意见反馈

求资源

回顶部

推荐内容

热门活动

热门器件

随便看看

  • 大家帮忙看看
    我做了一个抢答器程序,但是仿真没波形能给点建议吗QQ:362372925谢了library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity qiang isport(reset,clk: in std_logic; k: in std_logic; i: in std_logic_vector(7 do
  • MSP430单片机内存被改写如何修改
    请教论坛各位前辈一个问题,最近有个项目是用MSP430F1121A和CC1101的遥控器。接收那端的MSP430单片机定义在内存Memory的数据被莫名其妙改写,不知道由什么引起。这是仿真时,出错时候的内存数据。图中的relay1_flag和relay2_flag原来是用下面的bit定义的,就是因为会出现数据被改写所以我直接用了一个char做标志位试试看,但是还是被改写了,现在被改成了0x35和0
  • 治病时苦恼
    这段时间牙疼,经常半夜疼的受不了,上个月吃消炎药好了,后面可能吃得菜比较辛辣,又牙疼了,今天请了一天假去医院看了下,医生二话没说直接做根管治疗,其实去之前我咨询了很多相关问题,可能开始问的太多了,医生竟然发飙了,直接问我什么职业,我说干IT的,他说了一句,我就猜到了,后面也不敢多说,很担心医生乱来,人生第一次去打麻药,做个小手术吧,整个过程维持了大概半个小时左右,神经一直绷紧的,看着医生用工具打开
  • 哪个坛友知道波特率误差是如何计算出来的?
    哪个坛友知道波特率误差是如何计算出来的?
  • 晒板子2812
    大家看看定价多少合适?1.3 系统资源·DSP处理器TMS320F2812,32位定点高速数字处理器,最高工作频率150M;·片内内置128K * 16位 FLASH,利用烧写插件可以方便的固化用户程序,FLASH可加密;·片内内置 18K * 16位 SRAM;·片内内置 4K * 16位 BOOT ROM;·片内内置 1K * 16位 OTP ROM;·扩展 256K * 16位SRAM,IS
  • TPYBoard MicroPython 固件开发教程使用mpy-cross工具生成mpy文件
  • pic代理
  • msp430G2231 ADC10配置
  • 【国产RISC-V Linux板 昉·星光VisionFive试用报告】Tornado 数据写入读取
  • 晒板晒板,收到MSP-EXP430FR2433(第一单成功的国外订单)

 
EEWorld订阅号

 
EEWorld服务号

 
汽车开发圈

电子工程世界版权所有 京B2-20211791 京ICP备10001474号-1 电信业务审批[2006]字第258号函 京公网安备 11010802033920号 Copyright © 2005-2024 EEWORLD.com.cn, Inc. All rights reserved
×