热搜关键词: 电路基础ADC数字信号处理封装库PLC

rar

TL494脉宽调制控制电路

  • 1星
  • 2020-12-09
  • 259.55KB
  • 需要1积分
  • 3次下载
展开预览

猜您喜欢

评论

登录/注册

意见反馈

求资源

回顶部

推荐内容

热门活动

热门器件

随便看看

  • 【200分】wince6.0 wince5.0 安装问题
    问题描述:由于公司开始做6.0下的东西,基于3530,按照手册要求如下:注:若系统安装有旧版本的 CE 开发环境,可能会影响 Windows Embedded CE 6.0 开发平台的使用,建议卸载后再安装。请参考附录部分,确定各种资源获得渠道的信息;以上各软件或组件系统由于存在依赖关系,建议严格按照所列次序安装,并安装在默认路径。我卸载掉了以前的5.0,安装完成6.0后调试编译通过,接着公司又开
  • 【AIoT智慧烟感检测系统】产品设计背景
    [i=s] 本帖最后由 Steve-George 于 2022-10-21 17:47 编辑 [/i]一、时代背景及需求近年来,大型建筑发生火灾事故的情况时有发生,造成了大量的人员伤亡和财产损失。据统计,2021年间,我国因火灾造成的直接财产损失高达67.5亿元,伤亡人数高达上千人。为保障生命财产安全,消防安全的重要性愈发凸显。为预防火灾造成的危害,一方面要加强消防安全管理,减少室内可燃物,防患于
  • 赛欧轿车自动变速器换档过迟
    故障症状:该车自动变速器在行驶中换档过迟。诊断与排除:换档过迟有以下原因:①节气门位置传感器不良;②节气门拉线调节不当;③车速传感器及其线路不良;④主油路油压调节阀不良;⑤油压控制电磁阀不良;⑥自动变速器电脑故障。该车仅行驶了20000km。经询问得知,该车前段时间因缺水冲破缸垫拆过缸盖,而在拆缸盖前自动变速器升档正常,看来故障与拆卸缸盖有关。拆卸过程中动过的部件可能引起升档过迟的部件是节气门位置
  • 用vhdl写了一个分频器,波形仿真没有输出,怎么解决
    代码是这样的:library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity speakera isPort ( clk : in std_logic;--系统时钟tone : in std_logic_vector (10 downto 0);--音符分频系数spks: out std_logic);
  • 论坛兑换板子调试心得+程序+protues仿真(独立按键+流水灯)
    4个独立按键控制8种流水灯方式,内有protues仿真模型。
  • 关于430未注册中断函数重启问题
  • 如何轻松实现传感器信号调理(上).
  • matlab第四课--微分
  • 单片机开发工具
  • 高频天线防护设计仿真研究

 
EEWorld订阅号

 
EEWorld服务号

 
汽车开发圈

电子工程世界版权所有 京B2-20211791 京ICP备10001474号-1 电信业务审批[2006]字第258号函 京公网安备 11010802033920号 Copyright © 2005-2024 EEWORLD.com.cn, Inc. All rights reserved
×