热搜关键词: 电路基础ADC数字信号处理封装库PLC

pdf

新型非圆轴数控加工系统的设计与实现

  • 1星
  • 2013-09-20
  • 297.81KB
  • 需要1积分
  • 0次下载
标签: 新型非圆轴数控加工系统的设计与实现

新型非圆轴数控加工系统的设计与实现

提出了一种新型的非圆轴数控加工系统,用于横截面为非圆形状的轴类零件加工。在由凸轮驱动机械式微进给机构的基础上,利用仿射变换构建了一种新型的极坐标插补算法,可实现任意非圆轴的加工成形。与其他同类系统相比,该系统具有切削力大、精度高、柔性好等优点。关  键  词  数控;  活塞;  中凸变椭圆;  非圆加工;  微进给机构;  插补Abstract  A  new  kind  of  NC  system  for  machining  shafts  with  non-circular  cross-section  is  proposed  in  this  paper.  On  the  basis  of  a  special  micro-feed  mechanism,  which  is  driven  by  a  simple  cam,  a  new  polar  coordinate  interpolation  algorithm,  which  is  based  on  affine  transformation,  is  presented.  By  this  method,  shafts  with  any  non-circular  cross-section  can  be  machined.  Comparing  with  other  similar  systems,  it  possesses  such  advantages  as:  larger  cutting  force,  better  flexibility  and  higher  precision  et  al.Key  words  numeric  control;  piston;  convex  and  vari-elliptical;  non-circular  cross-section;  micro-feed  mechanism;  interpolation

展开预览

猜您喜欢

评论

登录/注册

意见反馈

求资源

回顶部

推荐内容

热门活动

热门器件

随便看看

  • 请问怎么消除锁存器?
    是带7位数码管的模100计数器library IEEE;use IEEE.STD_LOGIC_1164.ALL;entity top isport(clk,rst:in std_logic;digit1,digit2:out std_logic_vector(6 downto 0));end top;architecture Behavioral of top isbeginprocess(clk
  • wince起来(不是eboot)LCD初始化位置是在哪?
    wince起来(不是eboot)LCD初始化位置是在哪?是在显示驱动吗?
  • 1000元求教Yacc Lex C到VHDL最基本功能编译器
    各位前辈,本人求一个C到VHDL转换编译器的代码,功能:只需要最基本的功能,这里给出一个C写的加法器程序并给出一个相应应该转换成的VHDL(见附件2页的论文中),当然您也可以自行修改,或是直接给个另外的程序,当然越简单越好。但是只需要完成最最基本转换,比如C的指针,代码优化,错误检测,可以统统不要,方便您的工作#include#includemain(){int add();int a,b,c;s
  • 新一周的测评情报已经送到~
    hi,大家好~新一周的测评情报已经送到拉~请接收~在刚刚过去的一周,又有新的测评活动上线了哦~~偷偷再跟大家说一说,在管仔们的争取下,这周也有一波板子在寄送过来的路上了~~等我收到来开箱哦~~咱先来看看新上线的活动吧~~新鲜的开发板活动上线:1.DFRobot AS7341可见光谱传感器测评试用(这个蛮有意思的,玩起来玩起来)https://bbs.eeworld.com.cn/elecplay/c
  • USART_ITConfig(USART1,USART_IT_TEX ,ENABLE);使能串口发不了数
    USART_Init(USART1, &USART_InitStructure);USART_Cmd(USART1, ENABLE);[color=Red]USART_ITConfig(USART1,USART_IT_RXNE,ENABLE);// USART_ITConfig(USART1,USART_IT_TEX ,ENABLE);//不使能这个串口正常,使能就进不了while(1){};??
  • 电源完整性问题以及改进思路分析
  • 无源滤波电路
  • VerilogTutorial
  • BSC什么意思
  • CCS下28XXDSP代码编译问题

 
EEWorld订阅号

 
EEWorld服务号

 
汽车开发圈

电子工程世界版权所有 京B2-20211791 京ICP备10001474号-1 电信业务审批[2006]字第258号函 京公网安备 11010802033920号 Copyright © 2005-2024 EEWORLD.com.cn, Inc. All rights reserved
×