热搜关键词: 电路基础ADC数字信号处理封装库PLC

pdf

ADE7757A,pdf datasheet (Reverse Polarity Indication)

  • 1星
  • 2013-09-22
  • 596.99KB
  • 需要1积分
  • 0次下载
标签: ADE7757A

ADE7757A

datasheet

datasheet

Reverse

Reverse

Polarity

Polarity

Indication

Polarity

The  AD71056  supplies  average  real  power  information  on  F1  and  F2,  the  low  frequency  outputs.  These  outputs  either  directly  drive  an  electromechanical  counter  or  interface  with  an  MCU.  The  high  frequency  CF  logic  output,  ideal  for  calibration  purposes,  provides  instantaneous  real  power  information.

展开预览

猜您喜欢

评论

登录/注册

意见反馈

求资源

回顶部

推荐内容

热门活动

热门器件

随便看看

  • keil C51语言使用技巧与实践
    这里有一个教程,我感觉还可以,不知道对大家有没有什么帮助!
  • DSP器件的现场可编程技术
    DSP问世以来,以其强大的功能、合理的价格已经被设计者广泛应用。但不同于FPGA器件的是,DSP并不是为现场可编程而开发的,因此,在嵌入了DSP器件的产品中,如果需要对产品性能进行升级而需要升级程序时,往往会由于现场可编程能力缺乏,而给用户造成麻烦。本文以TI公司的54系列DSP为例,通过对DSP开发过程的分析和代码生成机理的深入研究,找到了一种对DSP器件进行现场编程的方法。1 54x DSP的
  • 求一个流水灯的C语音程序
    基于AT89C51芯片,P1.0到P1.7分别控制控制8个开关,P0.0到0.7分别控制8个LED灯,P3.0到P3.2控制另外三个开关目的:P1.0到P1.7的开关可分别控制P0.0到P0.7的8个灯,而P3.0到P3.2的开关则可以表现出LED灯三种不同的变换风格~
  • DAC0832 接口电路程序
    [font=Verdana]library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity DAC0832 isport(clk:in std_logic;--系统时钟rst:in std_logic;--复位信号ile:out std_logi
  • 【年味大比拼】+南方小城的特色
    [i=s] 本帖最后由 不足论 于 2019-2-15 22:23 编辑 [/i]一直在城市里游荡,一般也只有过年的时候,会回到老家,我的老家在南方的小乡村里。既然是年味,我尽量发一些特色吧,逢年过节,这种大的节日都会杀羊,然后一个村的人会聚在一起吃个饭,摆上那么几桌(我们村比较小,没多少人,而且也有些人没回来过年)。好些地方的人会丢掉羊的内脏,而我们这边人是比较喜欢吃羊杂的,我自己还在淘宝买过,
  • 分享一下怎样设计较好的音调处理电路?
  • TI C5000 DSP开发套件给力促销
  • 简单的热电偶测量解决方案的参考设计,<1°C精度
  • 找一个能输出9v的电压转换芯片。
  • 矩阵键盘检测

 
EEWorld订阅号

 
EEWorld服务号

 
汽车开发圈

电子工程世界版权所有 京B2-20211791 京ICP备10001474号-1 电信业务审批[2006]字第258号函 京公网安备 11010802033920号 Copyright © 2005-2024 EEWORLD.com.cn, Inc. All rights reserved
×